登录
首页 » VHDL » FPGA

FPGA

于 2023-06-23 发布 文件大小:339.59 kB
0 42
下载积分: 2 下载次数: 1

代码说明:

基于fpga的多功能电子钟的设计非常使用希望对大家有帮助啊-FPGA-based multi-functional electronic clock design to use would like to help everyone ah

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • verilog写的数字频率计的控制模块,对程序进行控制
    verilog写的数字频率计的控制模块,对程序进行控制-written in Verilog digital frequency meter control module, the program control
    2022-02-04 00:52:27下载
    积分:1
  • vga_demo2
    VGA controller : Genarate a VGA signal from your inout information as color info of each pixel
    2010-06-24 09:26:57下载
    积分:1
  • multiplier.tar
    用vhdl实现的booth算法乘法器,包含了multiplexer和rca adder,同时提供了一个测试文件,modelsim测试通过(Algorithm with a booth multiplier vhdl implementation, including a multiplexer and rca adder, while providing a test file, modelsim test pass)
    2021-04-14 13:18:55下载
    积分:1
  • Booth Algorithm Based Squarer Design
    设计一个8位有符号数字平方器。平方器将接收操作数B,一个8位有符号数。新兴市场;
    2022-04-06 14:59:44下载
    积分:1
  • matlabfile
    many matlab code with Fftseq ,uniform to gauss AM DSB FM modulation
    2009-12-20 14:06:57下载
    积分:1
  • TFT_LCD_ASIC_implement
    说明:  彩色TFT液晶显示控制电路设计及其ASIC实现(TFT color LCD control circuit design and ASIC realization)
    2008-10-25 15:11:10下载
    积分:1
  • codes
    EKG SIGNAL PROCESSING THROUGH CORDIC
    2013-09-29 01:46:17下载
    积分:1
  • -双路高速AD(AD9226)模块板发行资料
    其中包括AD9226的原理图和应用程序,可以参考完成其他编程(Including AD9226 schematics and applications, you can refer to complete other programming)
    2020-12-06 21:09:21下载
    积分:1
  • SPI的核心源代码,verilog
    Verilog for SPI Core source code
    2022-01-25 20:51:31下载
    积分:1
  • ADAPTIVEFILTER
    采用vhdl代码描述自适应滤波器,具有很好的可参考性,和实用性(Vhdl code to describe the use of adaptive filter, can be found with a good nature and usefulness of)
    2010-02-05 23:37:48下载
    积分:1
  • 696522资源总数
  • 104040会员总数
  • 35今日下载