-
PA3_E_FROM_AN
actel flash rom使用 actel flash rom使用(actel flash rom actel flash rom)
- 2013-05-07 14:42:44下载
- 积分:1
-
频率计
说明: 1、能正确显示输入信号频率;
2、测量频率范围为1Hz ~ 999999Hz;
3、测量结果以十进制数字显示;
4、能测量幅值较小的信号频率;
5、有自动刷新输出数据的功能(如5s刷新一次);
6、有自检模块(如产生100Hz的校准方波);(1. It can correctly display the input signal frequency;
2. The frequency range of measurement is 1Hz ~ 99999hz;
3. The measurement results are displayed in decimal;
4. It can measure signal frequency with small amplitude;
5. It has the function of automatically refreshing the output data (e.g. once in 5S);
6. Self checking module (such as generating 100Hz calibration square wave);)
- 2020-03-28 16:37:56下载
- 积分:1
-
counter
基于fpga的计数器模块 分频 可移植 完美实现(Perfect realization of frequency division and portability of counter module based on FPGA)
- 2020-06-20 21:00:01下载
- 积分:1
-
非常好的VHDL音乐
library ieee;
use
ieee.std_logic_1164.all;
use
ieee.std_logic_unsigned.all;
entity song is
port(clk_4MHz,clk_4Hz:in std_logic;
----预置计数器和乐谱产生器的时钟
digit:buffer std_logic_vector(6 downto 0); ----高、中、低音数码管指示
zero:out std_logic_vector(4 downto 0); ----用于数码管高位置低
- 2022-12-29 04:50:03下载
- 积分:1
-
cordic implementation in vhdl&c
cordic implementation in vhdl&c
- 2022-10-31 01:55:03下载
- 积分:1
-
vhdl code for counterand detemines how counter
works
vhdl code for counterand detemines how counter
works
- 2023-03-20 20:40:03下载
- 积分:1
-
vivado 从此开始配套资料
说明: vivado入门使用介绍,初学者入门学习(vivado Instructional pdf)
- 2020-07-04 18:00:01下载
- 积分:1
-
LCD_1602
说明: 以ISE为开发环境,Verilog语言编写程序。功能:FPGA控制 LCD_1602动态显示秒表(In the development environment of ISE, Verilog language is used to write programs. Function: LCD_1602 dynamic display stopwatch controlled by FPGA)
- 2020-06-20 00:00:02下载
- 积分:1
-
DE0_VGA
利用FPGA设计游戏设计,真人版超级玛丽,VGA显示(Using FPGA design game design, live-action version of Super Mario, VGA display)
- 2020-11-06 13:09:55下载
- 积分:1
-
集成电路的I2C协议间
inter integrated circuit i2c protocol
- 2022-02-03 10:59:46下载
- 积分:1