登录
首页 » VHDL » 非常好的VHDL音乐

非常好的VHDL音乐

于 2022-12-29 发布 文件大小:6.99 kB
0 73
下载积分: 2 下载次数: 1

代码说明:

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论


0 个回复

  • FPGA控制AD逐点采集信号,并将AD转换后的数据串行发送出去。
    FPGA控制AD逐点采集信号,并将AD转换后的数据串行发送出去。-FPGA to control the signal sampling point by point AD, AD conversion and serial data sent.
    2023-05-07 13:55:03下载
    积分:1
  • Verilog--Fourth-Edition
    FPGA开发必备工具书,适合初学者。语法、范例讲的都很详细,是一部不错的工具书。(Verilog hardware description language Fourth Edition)
    2015-09-30 12:34:50下载
    积分:1
  • 异步FIFO
    自己编写的同步和异步FIFO的verilog代码,验证过,有可靠性(Verilog code of my own synchronous and asynchronous FIFO, verified,and reliable.)
    2020-07-03 07:00:02下载
    积分:1
  • fifo
    说明:  FPGA的fifo与dsp的emif接口测试程序(EMIF interface test program for FIFO and DSP of FPGA)
    2020-12-03 16:59:25下载
    积分:1
  • sqr
    VHDL CODE FOR SQUARE WAVE GENERATOR
    2014-01-22 17:14:20下载
    积分:1
  • fir_verilog_matlab
    本设计是基于FPGA的一个FIR低通滤波器设计,要求使用Verilog语言编写滤波器模块,通过编译和综合,并通过Matlab和modelsim联合仿真验证设计结果。(This design is a FIR low-pass filter design based on FPGA, use Verilog to program filter module, and joint simulation by Matlab and modelsim to validate the design results.)
    2014-03-21 09:58:41下载
    积分:1
  • juchibo
    用vhdl语言生成锯齿波,数据可自行改变(Sawtooth wave with vhdl language generation, the data can change by itself)
    2011-12-21 19:29:51下载
    积分:1
  • cordic
    基于cordic算法的DDS的Verilog代码。经过仿真验证,绝对可靠。(Based on cordic algorithm DDS Verilog code. Through the simulation, is absolutely reliable.)
    2013-12-20 17:22:38下载
    积分:1
  • based on the nios ii drive the gpa module of altera de1 develop board,it s only...
    基于NIOS驱动ALTERA DE1开发板的GPS模块工程-based on the nios ii drive the gpa module of altera de1 develop board,it s only a reference project
    2023-08-30 05:55:06下载
    积分:1
  • 系统设计
    基于数码管独立显示和三色灯的交通指示系统设计(Design of Traffic Indicator System Based on Digital Tube Independent Display and Tri-color Lamp)
    2020-06-21 02:00:01下载
    积分:1
  • 696518资源总数
  • 104432会员总数
  • 16今日下载