登录
首页 » VHDL » this project is based on jk and t flip flop using vhdl.this is the 100 correct...

this project is based on jk and t flip flop using vhdl.this is the 100 correct...

于 2022-03-24 发布 文件大小:80.67 kB
0 41
下载积分: 2 下载次数: 1

代码说明:

this project is based on jk and t flip flop using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used for the final year project for engineering. Here dataflow techniques and behavioural techniques are used. -this project is based on jk and t flip flop using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used for the final year project for engineering. Here dataflow techniques and behavioural techniques are used.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • vhdl
    vhdl常见小实验代码,包括二进制比较器,4选1,8421十进制,8421转化成格雷码,8421余三码,分频器,数据码译码器,二进制减计数器,四位环形计数器等(VHDL common small experiment code)
    2020-06-24 13:00:02下载
    积分:1
  • add4bit
    一位全加器的VHDL源码与TEST BENCH.XILINX下通过(A full adder and the VHDL source code through TEST BENCH.XILINX)
    2009-07-20 08:18:37下载
    积分:1
  • ep2c5核心电路SCH
    EP2C5核心电路原理图,包括protel文件及其相应封装库-EP2C5 Core circuit Sch
    2023-02-03 21:30:03下载
    积分:1
  • BPSK
    说明:  先用Matlab理论仿真,再用Verilog语言在ISE环境下编写程序,可通过手机发送指令来控制上下变频器的参数。(Firstly, we use the theory of MATLAB to simulate, and then use Verilog language to write programs in ISE environment. The parameters of up-down converter can be controlled by sending instructions from mobile phone.)
    2020-06-19 22:40:02下载
    积分:1
  • verilog ADPLL file with testbench
    verilog ADPLL file with testbench
    2022-04-20 22:45:21下载
    积分:1
  • xspUSB
    说明:  关于usb调试相关测试 代码,用于测试和适配等(usb coding for testing , verigy, for studing usb and fpga)
    2020-06-22 23:00:01下载
    积分:1
  • VHDL--波形发生器
    用FPGA产生正弦波、方波、三角波和锯齿波,可以通过按键控制输出波形及其频率,并且可以通过lcd显示输出的波形名字及频率
    2022-02-12 06:23:05下载
    积分:1
  • iic_m
    该代码实现了IIC对24C02的读写,写采用页写的方式,读采用随机的方式。(This code implements the IIC on 24C02 read and write, write, write using the page mode, read random way.)
    2015-10-10 10:49:48下载
    积分:1
  • 随机数发生器
    随机数发生器
    2023-04-30 09:25:03下载
    积分:1
  • hdlc
    hdlc协议的封装与解析,fsc校验,完整的例程代码(Decode and Encode an HDLC packet ,using FCS16 calculation)
    2015-09-21 11:20:55下载
    积分:1
  • 696521资源总数
  • 104062会员总数
  • 3今日下载