登录
首页 » SCM » PIC CC1000 write code, poetry relatively common good thing.

PIC CC1000 write code, poetry relatively common good thing.

于 2022-06-15 发布 文件大小:4.18 kB
0 43
下载积分: 2 下载次数: 1

代码说明:

PIC单片机写CC1000的代码,诗歌比较通用的好东西。-PIC CC1000 write code, poetry relatively common good thing.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • QG8_demo学习板开发板例程 io口的应用的例程,辛苦找来。 经过验证是正确的。...
    QG8_demo学习板开发板例程 io口的应用的例程,辛苦找来。 经过验证是正确的。-Learning board QG8_demo development board io port the routine application of routine, it is tough to get a large. Verified to be correct.
    2022-04-16 16:57:33下载
    积分:1
  • HS108
    基于HS108设计的红外水位检测仪,内含C源代码,原理图及PCB,稍作改动可以用于很多位置检测场合如油泵位置检测等。-HS108-based design of infrared detector water containing C source code, schematics and the PCB, to make a minor amendment can be used for position detection in many occasions, for example, pumps and other position detection.
    2022-05-15 16:15:13下载
    积分:1
  • Keil C编写的12864LCD驱动,与实际结果ISIS软件仿真…
    用keil c编写12864LCD驱动程序,并用ISIS软件仿真实际效果-Keil c prepared with 12864LCD driver, and the actual results ISIS software simulation
    2022-11-12 12:50:03下载
    积分:1
  • Loops back EP2OUT to EP6IN and EP4OUT to EP8IN.
    Loops back EP2OUT to EP6IN and EP4OUT to EP8IN.
    2023-04-13 20:25:04下载
    积分:1
  • 本系统采用MSC
    本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 -The system uses MSC-51 series single-chip ATSC51 and programmable parallel I/O interface chip 8255A-centric device designed to control traffic lights, can be achieved in accordance with the actual traffic flow through the P1 port 8051 chip set red, green fuel Liang function of time traffic light cycle, the countdown five seconds left when the yellow light flashing warning (traffic lights through the PA output signal indicates the time directly through the PC port output 8255 to double-digits) vehicle alarm闯红灯 green time traffic flow can be detected through a double-digital display. The system practical, simple and strong extensions.
    2022-08-26 05:28:44下载
    积分:1
  • 使用AVR128单片机控制串行DA转换器TLV5618进行DA转换,计算精度高...
    使用AVR128单片机控制串行DA转换器TLV5618进行DA转换,计算精度高-The use of AVR128 SCM TLV5618 serial DA converters for DA conversion, calculation and high precision
    2023-01-24 21:15:04下载
    积分:1
  • 80C51F020 SPI interface FOSS
    80C51F020的SPI接口源码-80C51F020 SPI interface FOSS
    2023-04-12 13:00:04下载
    积分:1
  • 运行在Keil C创建C源代码中使用PDIUSBD12接口的微控制器…
    运行于c51单片机上的用keil c创建的C源程序,采用PDIUSBD12接口。-run on the microcontroller with Keil c create C source code using PDIUSBD12 interface.
    2022-05-09 09:38:12下载
    积分:1
  • LT-1B msp430开发板例子程序-ADC12_08
    2022-05-15 13:51:01下载
    积分:1
  • AD9852的单音频产生波形程序 加载失时钟是外部 设置的
    AD9852的单音频产生波形程序 加载失时钟是外部 设置的 -AD9852 produce a single audio waveform loader missing external clock is set
    2023-06-06 00:00:03下载
    积分:1
  • 696522资源总数
  • 104027会员总数
  • 45今日下载