登录
首页 » VHDL » In communication systems channel poses an important role. channels can convolve...

In communication systems channel poses an important role. channels can convolve...

于 2022-02-24 发布 文件大小:13.54 kB
0 130
下载积分: 2 下载次数: 1

代码说明:

In communication systems channel poses an important role. channels can convolve many different kind of distortions to our information. In perticular wireless channels multipath distortion is sevear. and more sevear is such distortion is random. To handle this, multipath affected channels require Equalizers at receaver end. such equalizer uses different learning Algorithms for identifying channels continuously. This project is VHDL implementation of LMS learning algorithm with pipelined architecture. so this implementation can work with higher data rates with less clock speed requirments and so with less power consumpiton It uses Fixed point arithmatic blocks for filtering so suitable for coustom asic.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • Tempe_deteV2.1
    说明:  FPGA接收串口UART发来的指令设定温度报警值,实时采集DS18B20温度传感器并显示,带报警功能(FPGA receives the instruction from UART, sets the temperature alarm value, collects and displays DS18B20 temperature sensor in real time, with alarm function)
    2021-04-13 13:28:56下载
    积分:1
  • 非常好的VHDL音乐
    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity song is    port(clk_4MHz,clk_4Hz:in std_logic;      ----预置计数器和乐谱产生器的时钟         digit:buffer std_logic_vector(6 downto 0);  ----高、中、低音数码管指示         zero:out std_logic_vector(4 downto 0);     ----用于数码管高位置低  
    2022-12-29 04:50:03下载
    积分:1
  • ps2_interface
    封装PS2接口驱动,用verilog编写!适用于键盘,鼠标等PS2接口的器件。(failed to translate)
    2013-05-05 10:48:42下载
    积分:1
  • VisonFly-D4100-SDK
    DLP Discovery 4100 数字微镜(DMD)空间光开关光调制器开发系统 1.全面兼容德州仪器TI DLP D4100 开发系统. 能够支持1920X1080 DMD(DMD微镜为10.6微米,本征分辨率为1920X1080) 数字微镜(DMD)空间光开关光调制器开发系统 2. 1024 X 768 的DMD(4:3)有两种微镜结构,一种是13.68 微米, 对角线长度为0.7 英寸;另一种是10.8 微米的,对角线长度为0.55 英寸;我们系统都能支持所有主流分辨率DMD 3. 支持USB2.0 高速度传输图片和控制信号 4. 开放式控制软件基于Windows XP 全速度USB驱动,在Visual Basic 下编制,开发式接口, 易于高精度光学科研实验 5. 提供丰富的Windows XP 的USB 控制程序和API 开发系统 6. 支持XGA, 1080p 和1920x1200 分辨率单个微镜精确控制 7. 开放式FPGA 架构, 提供示例FPGA 的二次开发选择和客户 定制功能 8. 高速二进和任意灰度制图片显示 输入输出系统触发,支持通 用客户顶GPIO 口设置. 9. 我们能为客户提供全程独特定做和设计服务. 应用: 结构光投影,激光全息,无掩模光刻,高光谱成像,激光光束校形, 3D 测量和3D 打印机技术, 光谱分析. Jefferson_zhao@163.com(DLP DMD Discovery 4100)
    2014-01-20 16:07:15下载
    积分:1
  • the major digital TV front
    主要完成数字电视前端信号处理和缓冲作用的verilog源代码,可以直接使用 -the major digital TV front-end signal processing and buffer the Verilog source code can be used directly
    2022-04-09 13:15:30下载
    积分:1
  • FIFO_Buffer(verilog)
    这是一个FIFO_Buffer的verilog代码.(This is a FIFO_Buffer the Verilog code.)
    2021-04-22 13:38:49下载
    积分:1
  • VHDL开发环境,四人抢答器,实现了四个人能同时抢答的功能。...
    VHDL开发环境,四人抢答器,实现了四个人能同时抢答的功能。-VHDL development environment Answer four, and the realization of the four functions at the same time Answer.
    2022-07-26 14:54:56下载
    积分:1
  • lacp
    lacp代码,可以参照学习Lacp协议的相关状态机等知识(LACP code, can refer to the relevant state machine learning knowledge of Lacp protocol)
    2014-12-09 17:14:11下载
    积分:1
  • electronic-lock-and-VHDL-design
    基于Max+Plus II和VHDL的电子密码锁设计(Based on Max+ Plus II electronic lock and VHDL design)
    2011-11-17 10:19:40下载
    积分:1
  • SDR
    直接序列扩频通信的Verilog仿真代码,在Quartus II中实现。(Direct sequence spread spectrum communication Verilog simulation code, implemented in Quartus II.)
    2011-01-16 12:18:18下载
    积分:1
  • 696518资源总数
  • 106208会员总数
  • 21今日下载