登录
首页 » Verilog » 数字时钟verilog HDL

数字时钟verilog HDL

于 2022-02-18 发布 文件大小:2.83 kB
0 33
下载积分: 2 下载次数: 1

代码说明:

应用背景设计要求:      1.有基础的实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。          2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。           3.整点报时,仿中央人民广播电台整点报时信号,从59分50秒起每隔2秒发出一次低音“嘟”信号(信号鸣叫持续时间1S,间隙时间1S)连续5次,到达整点(00分00秒时),发一次高音“哒”信号(信号持续时间1S)。           4.闹时功能,按动方式键,使电路工作于预置状态,此时显示器与时钟脱开,而与预置计数器相连,利用前面手动校时,校分方式进行预置,预置后回到正常模式。当计时计至预置的时间时,扬声器发出闹铃信号,时间为半分钟,闹铃信号可以用开关“止闹”,按下此开关后,闹铃声立刻中止,正常情况下应将此开关释放,否则无闹时作用。      5.秒表功能。按start键开始计秒,按stop键停止计秒并保持显示数不变,直到复位信号加入。关键技术      根据总体设计以及各分模块的需要,将分立模块分为7个部分运用verilog  HDL编程来实现。其分别为数字钟主体部分、手动设置、分频、整点报时、闹钟功能、秒表、控制显示和顶层8个模块。       数字钟主体部分主要由三个计数器组成,包括1个24进制计数器,作为小时计数器,2个60进制计数器分别作为分计数器和秒计数器。一个60进制计数器由一个6进制计数器和一个10进制计数器组成,由于都是比较简单的计数器,所以在用verilog设计时作为一个整体部分进行编程实现。同理小时计数器也作为整体部分来编程实现。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 编码器信号转换程序
    编码器信号的转换,用于控制打印机,UV平板打印机的喷头驱动输出信号,控制Y轴移动,需要将信号转换为运动控制器识别的信号才可以控制其运动。
    2022-10-20 19:55:03下载
    积分:1
  • project_1
    说明:  基于FPGA平台的双目视觉处理项目,可以通过双目摄像头实现目标物体测距,测量大小等功能(Binocular vision processing based on FPGA platform)
    2021-03-07 11:07:17下载
    积分:1
  • 串口通信(发送和接收)
    基于verilog语言的串口通信,可以实现数据的发送和接收,代码清晰明了
    2022-01-21 20:44:37下载
    积分:1
  • verilogsram
    FPGA Verilog HDL 读写SRAM(SRAM FPGA Verilog HDL to read and write)
    2012-11-11 11:41:04下载
    积分:1
  • IEEE Standard for Verilog 2005
    IEEE Standard for Verilog 2005
    2017-06-05 13:53:12下载
    积分:1
  • canny_edge_detector_latest2
    very good code for edge detection based on vhdl programming.
    2021-04-14 13:08:55下载
    积分:1
  • inv_matrix
    矩阵求逆模块硬件实现,用verilog语言,基于ISE开发环境(implement of inverse matrix)
    2021-03-24 10:19:14下载
    积分:1
  • 实践 tic tac toe vga
    实践的打井不工作,只是尚未尝试测试出多一点,不是最后的版本 !
    2022-01-25 18:36:03下载
    积分:1
  • FpMultiplier
    说明:  可调矩阵,最大32*32位浮点数乘法矩阵及仿真。(32*32 floating multiplication matrix)
    2021-02-09 00:25:23下载
    积分:1
  • FLASH_PCB
    M25P64-SPI-FLASH芯片的FPGA控制程序,已仿真验证(M25P64- SPI- FLASH chip FPGA control program, simulation)
    2020-08-28 16:48:12下载
    积分:1
  • 696522资源总数
  • 104027会员总数
  • 45今日下载