登录
首页 » VHDL » 音频最新项目

音频最新项目

于 2023-08-28 发布 文件大小:6.18 MB
0 27
下载积分: 2 下载次数: 1

代码说明:

音频编解码器 (ADPCM 1 位)代码是准备 Altera 旋风 II DE1 起动器板和它进行了测试,您可以修改代码,并使用它们在任何项目中。岩心描述:采样频率: 44100hz频道: 立体声比特率: 1 位每 Sample(So it is: 44.1 * 2 = 88.2kbps)压缩比: 16: 1VHDL 代码包括:1 位 ADPCM Decoder(x2)、 I2S Driver(x1)、 I2C Driver(x1)、 快闪记忆体 Driver(x1),键盘 Driver(x1)、 LED Bar(x1)、 容量和配置 Engine(x1)。Codec(Encoder/Decoder) 是可以使用它来编码 PCM 原始的波形文件,然后刻录的 Win32 应用程序中可用 *。DJ 文件到闪光灯,确保 flash 不是已经清楚 (你可以使用 EDK 控制面板来清除和程序 flash) 在 FPGA 上运行的代码。那里是没有专利或版权,这免费的每个人在任何项目中使用。

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • RTC-DS1307-interfacing-with-PIC
    Real time Clock DS1307 interacing with PIC using I2C.
    2013-03-06 13:52:42下载
    积分:1
  • stm8uart
    Demo program for use UART STM8S
    2013-09-05 03:18:35下载
    积分:1
  • endat
    endat 2.2 接口内核,发送命令至编码器或从编码器接收位置值(endat 2.2 interface cores, sending commands to the encoder or received the encoder position values)
    2021-05-12 18:30:02下载
    积分:1
  • bitcount
    it will count the bit
    2010-03-13 23:53:26下载
    积分:1
  • DS1820
    DS18B20温度传感器,用verilog语言实现(DS18B20 temperature sensor, with the verilog language)
    2020-11-01 21:29:55下载
    积分:1
  • FPGA_four_num_code_lock
    说明:  基于EasyFPGA030的四位数字密码锁。(Based on the four-digit lock EasyFPGA030.)
    2010-04-29 15:16:29下载
    积分:1
  • inc_pid
    基于FPGA的增量式PID设计方法,Matlab、Simulink, Xilinx Block set(Incremental PID FPGA-based design methodology)
    2014-11-03 04:16:19下载
    积分:1
  • fpga实例程序代码
    关于FPGA的一些例程,包括CORDIC数字计算机的设计,RS(204,188)译码器的设计等。(Some routines on FPGA include the design of CORDIC digital computers, the design of RS (204188) decoders, etc.)
    2018-07-21 19:08:25下载
    积分:1
  • 3.3
    布尔乘法器带testbench好用的工程啊(Boolean multiplier works with testbench nice ah)
    2011-07-26 10:53:51下载
    积分:1
  • msp430x41x
    低电源电压范围为1.8 V至3.6 V 超低功耗: - 主动模式:280μA,在1 MHz,2.2伏 - 待机模式:1.1μA - 关闭模式(RAM保持):0.1μA 五省电模式 欠待机模式唤醒 超过6微秒 16位RISC架构, 125 ns指令周期时间 12位A/ D转换器具有内部 参考,采样和保持,并 AutoScan功能 16位Timer_B随着三† 或七‡ 捕捉/比较随着阴影寄存器 具有三个16位定时器A 捕捉/比较寄存器 片上比较器 串行通信接口(USART), 选择异步UART或 同步SPI软件: - 两个USART(USART0 USART1)的† - 一个USART(USART0)‡ 掉电检测 电源电压监控器/监视器 可编程电平检测 串行板载编程, 无需外部编程电压 安全可编程代码保护 融合(Low Supply-Voltage Range, 1.8 V to 3.6 V Ultralow-Power Consumption: − Active Mode: 280 µ A at 1 MHz, 2.2 V − Standby Mode: 1.1 µ A − Off Mode (RAM Retention): 0.1 µ A Five Power Saving Modes Wake-Up From Standby Mode in Less Than 6 µ s 16-Bit RISC Architecture, 125-ns Instruction Cycle Time 12-Bit A/D Converter With Internal Reference, Sample-and-Hold and Autoscan Feature 16-Bit Timer_B With Three† or Seven‡ Capture/Compare-With-Shadow Registers 16-Bit Timer_A With Three Capture/Compare Registers On-Chip Comparator Serial Communication Interface (USART), Select Asynchronous UART or Synchronous SPI by Software: − Two USARTs (USART0, USART1)† − One USART (USART0)‡ Brownout Detector Supply Voltage Supervisor/Monitor With Programmable Level Detection Serial Onboard Programming, No External Programming Voltage Needed Programmable Code Protection by Security Fuse)
    2012-05-31 15:26:33下载
    积分:1
  • 696522资源总数
  • 104031会员总数
  • 39今日下载