登录
首页 » VHDL » 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。

用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。

于 2023-07-06 发布 文件大小:2.01 MB
0 25
下载积分: 2 下载次数: 1

代码说明:

用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104038会员总数
  • 40今日下载