登录
首页 » Quartus II » FPGA——IP_RAM实验

FPGA——IP_RAM实验

于 2020-06-22 发布
0 100
下载积分: 1 下载次数: 1

代码说明:

说明:  FPGA——IP_RAM实验: 创建IPRAM核,单端口,10位地址线(256字节),8位数据线(每字节8byte),读写使能 input [9:0] address; input clock; input [7:0] data; input wren; //置1则写入 output [7:0] q; LNXmode:控制LEDC显示 1:mode1,从k1~k3输入data的低4位,ledb计时,从0~f,计时跳变沿读取k1~k3的值,存入RAM 8个数之后,从RAM输出数据,用leda显示,同样每秒变化一次(The experiment of FPGA-IP_RAM: Create IPRAM core, single port, 10 bit address line (256 bytes), 8 bit data line (8 byte per byte), read and write enablement)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104040会员总数
  • 35今日下载