登录
首页 » VHDL » M序列?¨

M序列?¨

于 2019-05-05 发布
0 78
下载积分: 1 下载次数: 1

代码说明:

说明:  生成一个M伪随机序列码,在ISE平台上可跑通(Generate an M Pseudo-Random Sequence Code which runs on ISE platform)

文件列表:

伪随机M序列发生器\ps4.vhd, 663 , 2008-11-15
伪随机M序列发生器\pseudomorph_code.qar, 17917 , 2008-11-30
伪随机M序列发生器\伪随机m序列发生器.doc, 101376 , 2008-11-30
伪随机M序列发生器, 0 , 2011-08-31

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104038会员总数
  • 40今日下载