登录
首页 » VHDL » MemoryGame-master

MemoryGame-master

于 2020-12-19 发布 文件大小:3633KB
0 195
下载积分: 1 下载次数: 46

代码说明:

  在开发板EGO1上实现的图形记忆游戏,白块按下确认建,黑色块不按确认键(memory game in verilog)

文件列表:

MemoryGame-master
.................\project_4.cache
.................\...............\compile_simlib
.................\...............\..............\activehdl
.................\...............\..............\ies
.................\...............\..............\modelsim
.................\...............\..............\questa
.................\...............\..............\riviera
.................\...............\..............\vcs
.................\...............\wt
.................\...............\..\java_command_handlers.wdf,291,2017-04-10
.................\...............\..\project.wpc,62,2017-04-10
.................\...............\..\synthesis.wdf,3749,2017-04-06
.................\...............\..\synthesis_details.wdf,100,2017-04-06
.................\...............\..\webtalk_pa.xml,1486,2017-04-10
.................\...............\..\xsim.wdf,256,2017-03-30
.................\project_4.hw
.................\............\hw_1
.................\............\....\hw.xml,678,2017-04-10
.................\............\....\wave
.................\............\project_4.lpr,343,2017-04-04
.................\project_4.ip_user_files
.................\.......................\ipstatic
.................\.......................\README.txt,130,2017-03-30
.................\project_4.runs
.................\..............\impl_1
.................\..............\......\.init_design.begin.rst,181,2017-04-06
.................\..............\......\.init_design.end.rst,0,2017-04-06
.................\..............\......\.opt_design.begin.rst,181,2017-04-06
.................\..............\......\.opt_design.end.rst,0,2017-04-06
.................\..............\......\.place_design.begin.rst,181,2017-04-06
.................\..............\......\.place_design.end.rst,0,2017-04-06
.................\..............\......\.route_design.begin.rst,181,2017-04-06
.................\..............\......\.route_design.end.rst,0,2017-04-06
.................\..............\......\.vivado.begin.rst,179,2017-04-06
.................\..............\......\.vivado.end.rst,0,2017-04-06
.................\..............\......\.Vivado_Implementation.queue.rst,0,2017-04-06
.................\..............\......\.write_bitstream.begin.rst,181,2017-04-06
.................\..............\......\.write_bitstream.end.rst,0,2017-04-06
.................\..............\......\gen_run.xml,9265,2017-04-06
.................\..............\......\htr.txt,389,2017-04-06
.................\..............\......\init_design.pb,1886,2017-04-06
.................\..............\......\ISEWrap.js,7308,2017-04-06
.................\..............\......\ISEWrap.sh,1622,2017-04-06
.................\..............\......\opt_design.pb,5366,2017-04-06
.................\..............\......\place_design.pb,22485,2017-04-06
.................\..............\......\project.wdf,1953,2017-04-06
.................\..............\......\route_design.pb,10657,2017-04-06
.................\..............\......\rundef.js,1332,2017-04-06
.................\..............\......\runme.bat,229,2017-04-06
.................\..............\......\runme.log,24295,2017-04-06
.................\..............\......\runme.sh,1204,2017-04-06
.................\..............\......\top_module.bit,2192120,2017-04-06
.................\..............\......\top_module.tcl,4374,2017-04-06
.................\..............\......\top_module.vdi,24151,2017-04-06
.................\..............\......\top_module_4524.backup.vdi,21070,2017-03-30
.................\..............\......\top_module_6620.backup.vdi,20678,2017-03-31
.................\..............\......\top_module_clock_utilization_routed.rpt,14337,2017-04-06
.................\..............\......\top_module_control_sets_placed.rpt,5983,2017-04-06
.................\..............\......\top_module_drc_opted.rpt,1647,2017-04-06
.................\..............\......\top_module_drc_routed.pb,37,2017-04-06
.................\..............\......\top_module_drc_routed.rpt,3409,2017-04-06
.................\..............\......\top_module_io_placed.rpt,82357,2017-04-06
.................\..............\......\top_module_opt.dcp,600754,2017-04-06
.................\..............\......\top_module_placed.dcp,989827,2017-04-06
.................\..............\......\top_module_power_routed.rpt,7784,2017-04-06
.................\..............\......\top_module_power_summary_routed.pb,676,2017-04-06
.................\..............\......\top_module_routed.dcp,1218853,2017-04-06
.................\..............\......\top_module_route_status.pb,44,2017-04-06
.................\..............\......\top_module_route_status.rpt,588,2017-04-06
.................\..............\......\top_module_timing_summary_routed.rpt,105760,2017-04-06
.................\..............\......\top_module_timing_summary_routed.rpx,100420,2017-04-06
.................\..............\......\top_module_utilization_placed.pb,233,2017-04-06
.................\..............\......\top_module_utilization_placed.rpt,9199,2017-04-06
.................\..............\......\vivado.jou,734,2017-04-06
.................\..............\......\vivado.pb,149,2017-04-06
.................\..............\......\vivado_4524.backup.jou,710,2017-03-30
.................\..............\......\vivado_6620.backup.jou,734,2017-03-31
.................\..............\......\write_bitstream.pb,4809,2017-04-06
.................\..............\synth_1
.................\..............\.......\.vivado.begin.rst,180,2017-04-06
.................\..............\.......\.vivado.end.rst,0,2017-04-06
.................\..............\.......\.Vivado_Synthesis.queue.rst,0,2017-04-06
.................\..............\.......\fsm_encoding.os,1790,2017-04-06
.................\..............\.......\gen_run.xml,6041,2017-04-06
.................\..............\.......\htr.txt,381,2017-04-06
.................\..............\.......\ISEWrap.js,7308,2017-04-06
.................\..............\.......\ISEWrap.sh,1622,2017-04-06
.................\..............\.......\rundef.js,1261,2017-04-06
.................\..............\.......\runme.bat,229,2017-04-06
.................\..............\.......\runme.log,56357,2017-04-06
.................\..............\.......\runme.sh,1141,2017-04-06
.................\..............\.......\top_module.dcp,733118,2017-04-06
.................\..............\.......\top_module.tcl,2635,2017-04-06
.................\..............\.......\top_module.vds,55929,2017-04-06
.................\..............\.......\top_module_utilization_synth.pb,233,2017-04-06
.................\..............\.......\top_module_utilization_synth.rpt,7100,2017-04-06
.................\..............\.......\vivado.jou,730,2017-04-06
.................\..............\.......\vivado.pb,98121,2017-04-06
.................\project_4.sim

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • link
    连连看 小游戏 可以进行几本的连连看,玩得比较爽,看上去比较舒服(the little games,it is very good)
    2013-09-28 22:55:09下载
    积分:1
  • skyblue_Rect
    这是一款俄罗斯方块游戏,用Visual C++编程实现。(This is a Tetris game, with the Visual C++ programming.)
    2013-05-18 16:18:28下载
    积分:1
  • Tetris
    俄罗斯方块 纯C 语言版;精巧实现; 只有一个源码文件, 编译时请加上-std=c99 参数; gcc -std=c99 Tetris.c (Pure C language version of Tetris exquisite realization only one source file, when compiling with-std = c99 parameters gcc-std = c99 Tetris.c)
    2012-08-27 18:07:32下载
    积分:1
  • Eluosi
    以前写的一个俄罗斯方块程序 纯Windows API 和 GDI接口编写的 是学习Windows编程的好例子(A Tetris program used to write pure Windows API the GDI interface to write is a good example to learn Windows programming)
    2012-09-07 13:12:21下载
    积分:1
  • mofangkuaiyuyinyue
    说明:  这是个小小游戏,小时侯我们特爱玩的那种魔方块,不知大家玩过否?有什么改进希望大家能发信息给我,(This a small game, turkey we catch a special kind of magic squares, I do not know whether you have played? What we can hope to improve the information to me, thank you)
    2006-03-25 10:10:25下载
    积分:1
  • eluosi
    用c++编写的俄罗斯方块小游戏,有兴趣的可以学习下(With c++ Tetris game, interested can learn under)
    2013-10-15 22:11:42下载
    积分:1
  • puzzles
    Visual C++学习的好例程,经典游戏拼图(Visual C++ to learn a good routine, classic games puzzles)
    2009-12-03 17:09:30下载
    积分:1
  • Solution1
    用vb.net做的大富翁,a Console Application that models the game of Monopoly.Houses • Hotels • Rolling doubles • Players will not be allowed to sell properties once purchased. • Players may mortgage a property but it will remain mortgaged for the rest of the game. • No one will ever go to jail.(vb.net do with the millionaires, a Console Application models that the game of Monopoly.Houses Hotels## 8226 8226 8226 Rolling doubles# Players will not be allowed to sell properties once purchased.# 8226 Players may mortgage a property but it will remain mortgaged for the rest of the game.# 8226 No one will ever go to jail.)
    2005-04-23 07:33:09下载
    积分:1
  • rf
    说明:  c#俄罗斯方块 功能比较简单 但是代码也很少(c# Tetris function is relatively simple but the code is rarely)
    2013-06-15 00:27:27下载
    积分:1
  • 720_1
    文曲星猜数字,给你六次机会猜数字,成功给出提示,是否继续猜数字(Wenquxing number guessing, give you six opportunities numberguess success prompting whether to continue numberguess)
    2013-04-23 20:38:19下载
    积分:1
  • 696524资源总数
  • 103957会员总数
  • 51今日下载