登录
首页 » TEXT » Verilog

Verilog

于 2011-11-06 发布 文件大小:1004KB
0 49
下载积分: 1 下载次数: 4

代码说明:

  这是个关于verilog入门的文档,有同志对verilog感兴趣,可以下载此文档,以供参考。(This is a verilog entry on the document, there are comrades of the verilog interested, you can download this document for reference.)

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 696522资源总数
  • 104027会员总数
  • 45今日下载