登录
首页 » VHDL » Synopsys 帮助文件 version 200205

Synopsys 帮助文件 version 200205

于 2023-08-02 发布 文件大小:6.00 MB
0 27
下载积分: 2 下载次数: 1

代码说明:

Synopsys 帮助文件 version 200205-Synopsys sold version 200205

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • 只需要FPGA两个通用管脚,就可以实现FPGA与PC机进行以太网通信!!如果你有ALTERA_DE1的开发板,可以直接下再看效果,用其他板子就要重新分配一下管脚...
    只需要FPGA两个通用管脚,就可以实现FPGA与PC机进行以太网通信!!如果你有ALTERA_DE1的开发板,可以直接下再看效果,用其他板子就要重新分配一下管脚,推荐使用电流输出。-Only two general-purpose FPGA pins, you can realize FPGA and Ethernet PC machine! ! If you have ALTERA_DE1 development board, you can look under the direct effect, with other board you will need to reconsider the distribution of pins, recommended the use of current output.
    2023-07-19 16:10:04下载
    积分:1
  • FM_T
    一个简单的FM调制模块,FM发射,用Verilog编写,基于Xilinx SPARTAN6 XC6LX9开发(A simple FM modulation modules for FM transmitter, using Verilog prepared, based on XILINX SPARTAN6 XC6LX9 Development)
    2020-11-25 20:19:32下载
    积分:1
  • fft-matlab
    FFT的MATLAB实现。非常完整的实现FFT过程,速度很快。(The FFT in MATLAB. Contains more than one source, the FFT process. Learning Reference essential)
    2012-10-27 16:07:24下载
    积分:1
  • msp430x41x
    低电源电压范围为1.8 V至3.6 V 超低功耗: - 主动模式:280μA,在1 MHz,2.2伏 - 待机模式:1.1μA - 关闭模式(RAM保持):0.1μA 五省电模式 欠待机模式唤醒 超过6微秒 16位RISC架构, 125 ns指令周期时间 12位A/ D转换器具有内部 参考,采样和保持,并 AutoScan功能 16位Timer_B随着三† 或七‡ 捕捉/比较随着阴影寄存器 具有三个16位定时器A 捕捉/比较寄存器 片上比较器 串行通信接口(USART), 选择异步UART或 同步SPI软件: - 两个USART(USART0 USART1)的† - 一个USART(USART0)‡ 掉电检测 电源电压监控器/监视器 可编程电平检测 串行板载编程, 无需外部编程电压 安全可编程代码保护 融合(Low Supply-Voltage Range, 1.8 V to 3.6 V Ultralow-Power Consumption: − Active Mode: 280 µ A at 1 MHz, 2.2 V − Standby Mode: 1.1 µ A − Off Mode (RAM Retention): 0.1 µ A Five Power Saving Modes Wake-Up From Standby Mode in Less Than 6 µ s 16-Bit RISC Architecture, 125-ns Instruction Cycle Time 12-Bit A/D Converter With Internal Reference, Sample-and-Hold and Autoscan Feature 16-Bit Timer_B With Three† or Seven‡ Capture/Compare-With-Shadow Registers 16-Bit Timer_A With Three Capture/Compare Registers On-Chip Comparator Serial Communication Interface (USART), Select Asynchronous UART or Synchronous SPI by Software: − Two USARTs (USART0, USART1)† − One USART (USART0)‡ Brownout Detector Supply Voltage Supervisor/Monitor With Programmable Level Detection Serial Onboard Programming, No External Programming Voltage Needed Programmable Code Protection by Security Fuse)
    2012-05-31 15:26:33下载
    积分:1
  • 利用FPGA实现的脉宽测试技术,基于VHDL,测试误差为时钟周期
    利用FPGA实现的脉宽测试技术,基于VHDL,测试误差为时钟周期-Use of FPGA technology to achieve the pulse-width test, based on VHDL, test error of clock cycles
    2022-06-26 11:28:29下载
    积分:1
  • contract
    it is a filter contract VHDL .(it is a filter contract VHDL.)
    2007-04-12 22:27:23下载
    积分:1
  • pj2-NO.6
    基于FPGA的电子密码锁设计-已在开发板上成功运行,通过老师检验。(FPGA based electronic password lock design- has been successfully developed on the development board, through the teacher inspection.)
    2017-05-26 11:54:44下载
    积分:1
  • fen pin qi
    半整数分频器的实现(verilog),本文以6.5分频为例!很实用的!-fen pin qi
    2022-02-01 02:05:40下载
    积分:1
  • MAC_TxScheduler
    Ethernet MAC-MII interface of Transmit
    2014-02-15 00:35:25下载
    积分:1
  • Using dual
    用双端口ram实现异步fifo,采用格雷码,避免产生毛刺。-Using dual-port ram realize asynchronous fifo, the use of Gray code, avoiding the production of burr.
    2022-03-15 17:48:50下载
    积分:1
  • 696522资源总数
  • 104029会员总数
  • 31今日下载