登录
首页 » VHDL » RD1006

RD1006

于 2023-07-29 发布 文件大小:201.32 kB
0 28
下载积分: 2 下载次数: 1

代码说明:

RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and document contains tb-

下载说明:请别用迅雷下载,失败请重下,重下不扣分!

发表评论

0 个回复

  • shuzihongdianlu
    数字钟电路的实现,可以24小时计时,可调整时间!(Digital clock circuit implementation, a 24-hour timer, adjustable time!)
    2013-08-18 14:49:14下载
    积分:1
  • VendingMachine
    VHDL Vendingmachine source
    2013-11-02 06:19:46下载
    积分:1
  • OFDM系统中的相位跟踪模块(Phase_Tracking)的FPGA实现
    OFDM系统中的相位跟踪模块(Phase_Tracking)的FPGA实现-Phase_Tracking in OFDM sysytems
    2022-10-06 04:25:03下载
    积分:1
  • 在 FPGA 中实现 SPI 接口
    在 FPGA,SPI、 I2C 等 ASI,串行接口的实现来武力作为需要实现外围设备之间的接口。这个项目给 VHDL 源代码实施 SPI 接口和他们有关的文件。
    2022-12-01 01:55:04下载
    积分:1
  • VHDL分频程序
    我用的是二进制分频的方法,这种分频方法的分频只能是2n次方,有限制,但是很方便
    2022-03-21 03:53:50下载
    积分:1
  • ofdm_modulation
    OFDM modulation source code written in Matlab
    2009-06-01 17:52:44下载
    积分:1
  • Altera-LVDS_IP
    自己总结的Altera_LVDS的IP核的设计及仿真分析,已在实际工程中应用到,并且带有源代码和仿真代码,总结的文档,非常有用。(My summary of the Altera_LVDS IP kernel design and simulation analysis, has been applied in practical engineering, and with source code and simulation code, summary of the document, very useful.)
    2020-12-16 14:39:13下载
    积分:1
  • RS-encode_FPGA
    利用FPGA开发软件 进行rs编码的仿真 模拟RS编码的过程步骤(rs code in FPGA)
    2012-04-21 21:00:28下载
    积分:1
  • 一位LED显示的VHDL程序,挺简单的,买的开发板里面带的
    一位LED显示的VHDL程序,挺简单的,买的开发板里面带的-An LED display of the VHDL program, quite simply, to buy development board inside the zone
    2023-07-18 00:45:02下载
    积分:1
  • LDPC.DIFFERENT-RATE
    LDPC码不同码率对比,1/2与1/3码率对比。码长512.迭代次数50次。(Comparison of different rate of the LDPC code, 1/2 compared with the 1/3 code rate. 512 yards long. 50 times the number of iterations.)
    2012-11-22 10:49:22下载
    积分:1
  • 696522资源总数
  • 104029会员总数
  • 31今日下载